ASML - The world's supplier to the semiconductor industry

ASML is the world’s leading manufacturers of wafer front end (WFE) semiconductor equipment. ASML Holding N.V. is a Dutch multinational corporation founded in 1984, they currently have over 60 locations in 16 countries, and employ over 28k individuals.

They design and manufacture cutting-edge lithography machines that are an essential component in chip manufacturing. Their customers are companies such as TSMC, Samsung, Intel, and others who use their machines in “fabs” - microchip manufacturing plants.

[size=4]What makes ASML unique and dominate the industry?[/size]

ASML isn’t the only maker of photolithographic machines; however they are the only company in the world producing EUV (extreme ultraviolet) lithography machines for mass manufacturing. Yes, they are technically a legal monopoly. It took 20 years of extremely expensive development to bring EUV technology to fruition, and that is now paying off big time. That same time 20 years ago Nikon pursued a different path called electron beam (EB) photolithography. EB never really worked properly and eventually Nikon abandoned it.

EUV machines sell for up to $200M a piece. Each machine reportedly has over 100,000 components and it takes 40 freight containers or four jumbo jets to ship. Last year, ASML sold just 31 of these enormous pieces of equipment, according to its financials. It has sold over 100 in total.

EUV machines are the ONLY machines capable of making chips at 3, 5, and 7nm nodes. This technology should be able to work down to 2nm, and we will likely see news in the future of such a machine.

Not every lithography system that ASML makes has EUV capabilities. EUV is the company’s latest technology which it introduced for high-volume manufacturing a few years ago, yet already makes up 1/3 of sales by revenue. DUV (deep ultraviolet) is still the more affordable workhorse of the industry (and the bulk of the company’s sales). However, ASML expects EUV revenue to rise to three-quarters of its sales by 2025, as other chipmakers upgrade from existing “deep ultraviolet” technology.

ASML_EUV

ASML has also pushed the previous generation of technology to its limits, developing a dual wafer-stage system that allowed two previously independent printing stages to happen in parallel. “This eliminated virtually all overhead time, allowing continuous patterning of product wafers with double the productivity,” says Malcolm Penn, CEO of semiconductor research company Future Horizons. “This was a masterful body blow to Nikon and Canon, which have never caught up.”

[size=4]What if another company came out with an EUV machine tomorrow?[/size]

Malcolm Penn, CEO of semiconductor research company Future Horizons said the emergence of a challenger to ASML’s dominance in the field is “theoretically possible, given deep enough pockets, but extremely unlikely,” and adds that it would take at least a decade to narrow the gap to the Dutch company; and by then the cutting edge would have moved on again.


The cost of R&D and manufacturing of new IC nodes has grown exponentially.

In 2019 ASML was blocked by the Dutch government from shipping an EUV machine to SMIC (a Chinese company), reportedly after pressure from US officials. Semiconductors have become a key battleground in the trade conflict between the US and China, and in December SMIC was placed on a US government ‘blacklist’ in December owing to security concerns.

[size=4]EUV might be the latest & greatest, but what comes next?[/size]

The company is planning to release a next generation machine called High-NA, which stands for high numerical aperture, around 2025.

Intel has signed an exclusive (and probably very expensive) deal to get the first High-NA machines.

[size=4]Who are some of ASML competitors in the wafer fabrication equipment sector?[/size]

Canon
Nikon
Applied Materials (AMAT)
Lam Research (LRCX)
Tokyo Electron Limited
KLA Corporation (KLAC)

[center]Lithography_Competition[/center]

topfivechipmanuf630
Top five semiconductor manufacturing equipment vendors sales 2015 to 2020 ($ millions)


[size=4]So what do I do now?[/size]

Analysts forecast ASML’s market value to climb from $302 billion to more than $500 billion next year!

Unless you think that demand for computing power is going to stagnate or decline, the expectations got to be that ASML’s revenue keeps growing.

If chips make the world go round, ASML may be the closest the multi-trillion-dollar global tech industry has to a linchpin.

Buy and hold…

6 Likes

I’m in this since October. Only constant joy in my portfolio.

1 Like

Great find bud. I’ll look out for the entry under 700.

Do you think it’s going to drop to under 700? I’m looking to add to my position but under 700 is a drop of over 20% from 2 weeks ago.

I think under 700 would be a stretch, however it could reach the low 700’s again if the market keeps tanking this week.

I’m in Europe so I have the NL stock. What I’ve been noticing is that the NL stock wants to go up and only starts dropping when the NYSE opens. It’s been like this for almost all of last week.

This is interesting, thanks Beaker. I think I’ll be looking to add a bit of this to the longer hold/responsible side of the portfolio :+1:

The only risk I would see with ASML is Taiwan & China, which would effect TSMC
If anything would ever happen to Taiwan, this could influence the stock in a negative way.
On the positive side, TSMC is also investing in another location in Arizona, expected to go live in 2024.

As stereofm pointed out, there currently is some SPY correlation happening with ASML.
Big dips in SPY also causes dips in ASML stock.

https://www.reuters.com/technology/asml-sees-around-227-bln-sales-china-2021-2022-cfo-2021-11-18/
https://www.reuters.com/technology/tsmc-says-construction-has-started-arizona-chip-factory-2021-06-01/